Автоматическая симуляция
Запуск в режиме командной строки

        Когда используется термин "режим командной строки" в отношении симуляции, которая запускается из командной строки DOS/ UNIX без запуска графической оболочки. Некоторые команды ModelSim (т.е. vsim, vlib, vlog и т.д.) могут исполняться независимо, т.е. из системной командной строки.
        Дополнительно, вы можете создать DO, который содержит другие ModelSim команды и задаёт этому файлу когда ему запускать симулятор.

        1. Создание новой директории и копирование в неё учебных файлов.
        Начните с создания новой директории. Создайте новую директорию и скопируйте в неё следующие файлы:
        • //examples/tutorials/verilog/automation/counter.v
        • //examples/tutorials/verilog/automation/stim.do

        В этом уроке используется Verilog файл counter.v. Если у вас лицензия VHDL, используйте файлы counter.vhd и stim.do из //examples/tutorials/vhdl/automation.

        2. Создание новой библиотеки проекта и копирование в неё исходных файлов.
        Повторите ввод этих команд в командной строке DOS/ UNIX в новой директории, созданной в п. 1.
        a. Введите vlib work в командной строке DOS/ UNIX.
        b. Для Verilog, введите vlog counter.v в командной строке DOS/ UNIX. Для VHDL, введите vcom counter.vhd.

        3. Создание DO файла.
        a. Откройте текстовый редактор.
        b. Введите следующие строки в новом файле:

        # list all signals in decimal format
        add list -decimal *
        # read in stimulus
        do stim.do
        # output results
        write list counter.lst
        # quit the simulation
        quit -f

        c. Сохраните файл под именем sim.do в текущей директории.

        4. Оптимизация элемента проекта счётчика.
        a. Введите следующую команду в командной строке DOS/UNIX:
        vopt +acc counter -o counter_opt

        5. Запуск пакетного режима симуляции.
        a. Введите следующую команду в командной строке DOS/UNIX:
        vsim -c -do sim.do counter_opt -wlf counter_opt.wlf


        Аргумент -c инструктирует ModelSim не запускать графическую оболочку. Аргумент -wlf сохраняет результаты симуляции в WLF файле. Это позволит вам посмотреть эти результаты в графической оболочке при выполнении отладки.

        6. Просмотр выходного списка.
        a. Откройте counter.lst и посмотрите результаты симуляции. Результат сделан для Verilog версии проекта, и выглядит следующим образом:

        Результат может немного отличаться от VHDL версии.

        7. Просмотр результата в графической оболочке.
        Если вы сохранили результаты симуляции в counter_opt.wlf, то вы можете увидеть их в графической оболочке, выполнив VSIM с аргументом -view.
        Внимание. Проследите, чтобы ваша переменная среда PATH была установлена в текущей версии ModelSim в начале строки.
        a. Введите vsim -view counter_opt.wlf в командной строке DOS/ UNIX.
        Откроется графическая оболочка и отобразится вкладка набора данных, называемая "counter_opt" (рис. 15-2).

        b. Правым кликом на элемент counter выберите Add > To Wave > All items in region.
        Временные диаграммы отображаются в окне Wave.

        8. Когда вы закончите просмотр результатов, выберите File > Quit, чтобы закрыть ModelSim.

<< Назад     Оглавление     Далее >>
Хостинг от uCoz