Проекты
Изменения порядка компиляции (VHDL)

        По умолчанию ModelSim выполняет объединение VHDL проектов в порядке их загрузки с помощью vsim. Однако вы можете избирательно выполнять объединение по умолчанию во время компиляции. (Подробнее в руководстве пользователя в разделе Объединение по умолчанию.) Если вы выбираете, как делать объединение по умолчанию на стадии компиляции, то порядок компиляции для вас очень важен. Следуйте этим пунктам для изменения порядка компиляции внутри проекта.

        1. Изменение порядка компиляции.
        а. Выберите Compile > Compile Order.
        Этим вы откроете диалог "Порядок компиляции".
        б. Кликните на кнопку Auto Generate.

        ModelSim определяет порядок компиляции, делая множество переходов между файлами. Он начинает компиляцию сверху; если файл пропускает компиляцию по зависимости, компилятор перемещает это файл вниз и перекомпилирует его после компиляции оставшихся файлов. Так продолжается, пока все файлы не будут успешно скомпилированы, или пока файлы не смогут быть скомпилированы по причине других зависимостей.
        С другой стороны, вы можете выбрать файл и использовать кнопки "Переместить вверх" и "Переместить вниз", чтобы установить файлы в правильном порядке (рис. 4-5).

        в. Кликните OK для закрытия диалога "Порядок компиляции".

<< Назад     Оглавление     Далее >>
Хостинг от uCoz