Общее представление о концепции
Основы процесса симуляции

        Следующая блок-схема показывает основные шаги симуляции проекта в ModelSim.

        • Создание рабочей библиотеки
        В ModelSim все проекты сводятся в библиотеку. Обычно вы начинаете новую симуляцию в ModelSim с создания рабочей библиотеки, называемой "work", это имя по умолчанию, используемое компилятором в качестве местоположения по умолчанию для компоновочных элементов проекта.

        • Компиляция файлов проекта
        После создания рабочей библиотеки, вы компилируете в ней ваш проект. Формат библиотеки ModelSim совместим со всеми платформами. Вы можете симулировать ваш проект на любой платформе без перекомпиляции.

        • Загрузка и запуск симулятора
        По готовности проекта, вы загружаете симулятор в ваш проект, вызывая симулятор из головного модуля (Verilog) или из конфигурации или из пары компонент/архитектура (VHDL).
        Если проект успешно загружен, время симуляции устанавливается в нуль, а вы вводите команду run для начала симуляции.

        • Отладка результатов
        Если вы не получили ожидаемых результатов, вы можете использовать устойчивую среду отладки для отслеживания источника проблем.

<< Назад     Оглавление     Далее >>
Хостинг от uCoz